Cours et documentations sur: resistance

 Ressources dans les Modules 23 page(s)
Articles de Technologie: Listes des cours disponibles sur TECHNOLOGUE pro
... e OSI: # La couche PHYSIQUE:N1 # La couche LIAISON:N2 # La couche RESEAU:N3 # La couche TRANSPORT:N4 # La couche SESSION:N5 # La couche PRESENTATION:N6 # La couche APPLICATION:N7 Technologie #LES RESISTANCES # LES CONDENSATEURS # LA DIODE A JONCTION # LE TRANSISTOR # LA FAMILLE TTL # LA FAMILLE CMOS # CALCUL DES DISSIPATEUR Transmission numérique #Information et Codages # Transmission de données # ...
TP Génie Electrique: Atelier de Circuits électriques
... elques théorèmes de l'analyse de circuits. TP N° 3 : Etude du circuit RC. TP N° 4 : Association des récepteurs R,L,C en régime alternatif. TP N° 5 : Etude de la résonance des Circuits R, L, C. ...
TP Génie Electrique: Atelier de Mesures électriques
... tances (2 séances)      TP N° 4 : Mesure des caractéristiques des signaux alternatifs (2 séances)      TP N° 5 : Mesure des puissances (2 séances)    Voir cours Mesures Electriques ...
Téléchargements: Régulation PID d'un four électrique par PIC16F876A
... u correcteur Le programme utilise une bibliothèque PID ecrite sous Mikropascalvoici les deux fonctions utilisées:Init_Pid(Controller1, Kp,Ki,Kd, 1, 255);OutPut := PID_Calculate(Controller1, Tc, Tf); ...
Téléchargements: RDM/Winflex
... RDM ou connu sous le nom de Winflex,, logiciel de calcul de résistance des matériaux ...
Téléchargements: Apprendre le routage sous ARES
... ents composants, il nous faut maintenant connaître celui des pistes qui les relieront entre eux. L’objectif est donc d’obtenir le chemin de ces pistes grâce aux fonctions de routage du logiciel. ...
Projets fin d'études: Système d'acquisition de données numériques et analogiques
... icInterface graphique des entrées analogiquesLes courbes des 8canauxInterface graphique des entrées TORMatérielle utilisée :1 PIC 16F8778 PCF85748 ULN 28039 CONNECTEUR DB91 MAX23232 DIODES LEDs64 RESISTANCES (220)8 POTONTIOMETRE24 INTERRUPTEURS9 BOUTONS POUSOIRLogiciels utilisés :MikropascalVisual basicIcprogProteus Diapositives de la soutenance Code source de l'interface graphique ...
Projets fin d'études: Maquette didactique à base du microcontrôleur 16F877 (version2)
... LCD 16*2 * Photo résistance et un potentiomètre * Application sur le bus I2C et RS232Rapport du projet de fin d'étude Le schéma de la carte et le typon (ISIS-ARES) Diapositives de la soutenance ...
Projets fin d'études: Maquette didactique à base du microcontrôleur 16F877 (version 1)
... * Photo résistance et un potentiomètre * Application sur le bus I2C et RS232Rapport du projet de fin d'étudeLe schéma de la carte et le typon (ISIS-ARES)programme du PIC16F876 (mikropascal+.hex) ...
Cours Génie Mécanique: Conception mécanique - ajouté le 06/04/2019
... resistance, elements, machine, calcul arbre, guidage rotation, transmission, puissance, engrenages, systeme, vis-ecrou ...
Cours Génie Mécanique: Résistance des matériaux RDM - ajouté le 30/10/2017
... RDM, Résistance des matériaux, torsion, flexion, cisaillement, Compression, géometriques, sections, mécanique, materiaux ...
Cours Génie Mécanique: Atelier mécanique générale et RDM - ajouté le 30/10/2017
... atelier, mécanique, rdm, resistance matériaux, tp, frottement, traction, flexion, gyroscope, iset ...
Cours Génie Mécanique: Résistance des matériaux RDM1 - ajouté le 22/01/2014
... RDM, Résistance des matériaux, torsion, flexion, cisaillement, Compression, géometriques, sections, mécanique, materiaux ...
Cours: Bus de terrain Profibus - Automates programmables industriels
... s a été supprimé par demande de son auteur, des cours similaires sont proposés : Circuits électriques Circuits électriques 2Cette page sera supprimée définitivement dans un délai de 30 jours. ...
Cours: Caractéristiques et pannes des composants électroniques - Test et réparation des cartes électroniques
... 'affichage de la mesure par les diodes électroluminescentes ou par les cristaux liquides.Ces appareils sont généralement dotés d'un commutateur qui permet de changer les calibres et de fonctions. ...
Cours: Mesure Électrique - Les grandeurs électriques et unités de mesure
... vrage et, notamment, à Mr KHARRAT Maher.De même les auteurs adressent d’avance leurs remerciements aux lecteurs qui voudront bien faire part de leurs critiques et de leurs remarques constructives. ...
Cours: Mesure Électrique - Introduction
... ie des condensateurs Technologie des diodes à jonction Technologie des transistors Technologie de de la famille TTL Technologie de la famille CMOS Calcul des dissipateur thermiques Travaux dirigés ...
Cours Génie Electrique: Circuits électriques
... de mesure Les erreurs de mesure Les appareils à déviation en courant continu Les appareils de mesure en courant alternatif Les méthodes de mesure Mesure des grandeurs électriques Devoir surveillé ...
Cours Génie Electrique: Mesure et métrologie
... tre numérique Les méthodes de mesure Mesure des tensions et des courants Mesures chronométriques Mesure de la puissance Mesure des résistances Les erreurs de mesure Exercices d'application Annexes ...
Cours Génie Electrique: Mesures Electriques L1
Cours Génie Electrique: Technologie Electronique
Cours Génie Electrique: Mesure
Cours Génie Electrique: Mesure Electrique


Resultats dans le Forum - 57 réponse(s) trouvée(s)
Forums: Projets fin d'études
Sujets: RE : commande dun moteur avec STM32
jfaycel a écrit :salut,SVP comment je veux commander un moteur (220v) à partir dun STM32 et ce suivant une signale du photorésistance LD...
Auteur: lobna hm- Date: 07/06/2018 01:36
Forums: MikroPascal
Sujets: de l aide a propos 12F675
bonsoir , SVP j'ai besoin de l'aide a propos modification de code source .je résume , j'ai trouver sur un site un schéma qui consiste a a...
Auteur: lemecsm- Date: 31/10/2017 21:32
Forums: Electronique Analogique
Sujets: calcul résistance control vitesse
'ai un ventilateur que je veux contrôler la vitesse j'ai eu l'idée de fixer 3 vitesse avec 3 interrupteurs chaque interrupteur associé à...
Auteur: sino007- Date: 27/03/2017 14:09
Forums: Réparation et dépannage
Sujets: calcul résistance control vitesse
j'ai un ventilateur que je veux contrôler la vitesse j'ai eu l'idée de fixer 3 vitesse avec 3 interrupteurs chaque interrupteur associé �...
Auteur: sino007- Date: 27/03/2017 14:08
Forums: Mini-projets
Sujets: RE : variateur de vitesse à base du pic 16f877 et d'un potentiomètre
noussayba a écrit :svp j'ai vrément besoin d'aid..je suis entrain de réaliser un variateur de vitesse à base du pic 16f877 et un potenti...
Auteur: abbes1960- Date: 17/02/2017 08:59
Forums: J'ai besoin d'un cours
Sujets: cours
bonjours j'ai besoin du cours sur calcul de la résistance des engrenages coniques à denture droite à la pression superficielle
Auteur: diall- Date: 04/02/2017 15:01
Forums: Réalisations générales
Sujets: pt100 arduino+ isis
bonjour à tousmon but de ce projet est d'afficher la température et sa valeur de résistance correspondante mais je sais pas comment fair...
Auteur: rabeb_ouertani- Date: 25/08/2016 11:00
Forums: Réalisations générales
Sujets: RE : flowcode une solution ?
max a écrit :ou est je la tête ?apres "cojitation" (désolé je ne connais pas du tout la programmation en micropascal (mais ca commence a...
Auteur: cccc- Date: 22/03/2016 11:29
Forums: Réalisations générales
Sujets: RE : flowcode une solution ?
max a écrit :ou est je la tête ?apres "cojitation" (désolé je ne connais pas du tout la programmation en micropascal (mais ca commence a...
Auteur: cccc- Date: 22/03/2016 11:29
Forums: Proteus (ISIS ARES)
Sujets: RE : Tailles de resistance
Probleme Resolu
Auteur: dvb_gsm- Date: 22/04/2015 12:20
Forums: Proteus (ISIS ARES)
Sujets: Tailles de resistance
Hi..Je veux juste modifier la longueur des resistancesJe savais bien qu'il ya plein de tailles..Mais moi je veux juste avoir les longueurs b...
Auteur: dvb_gsm- Date: 02/04/2015 14:53
Forums: Mini-projets
Sujets: Bonjour Tout le monde
Ma fille doit réaliser un montage LED Cube 8x8x8 a l'aide d'un PIC 16F877A, est ce qu'il ya qq qui peut m'aider. Tout les montages que j'a...
Auteur: maher_feki- Date: 12/01/2015 12:12
Forums: Projets fin d'études
Sujets: RE : Régulateur solaire à base d'un micro-contrôleur "PIC16F877"
Bonjour En général, une résistance de faible valeur est insérée en série avec la charge sur le circuit de retour à la masse. Par exe...
Auteur: bertrandbd- Date: 21/04/2014 09:35
Forums: Projets fin d'études
Sujets: commande dun moteur avec STM32
salut,SVP comment je veux commander un moteur (220v) à partir dun STM32 et ce suivant une signale du photorésistance LDR.?
Auteur: jfaycel- Date: 26/05/2013 22:30
Forums: Mini-projets
Sujets: variateur de vitesse à base du pic 16f877 et d'un potentiomètre
svp j'ai vrément besoin d'aid..je suis entrain de réaliser un variateur de vitesse à base du pic 16f877 et un potentiomètre. Mais le pro...
Auteur: noussayba- Date: 24/05/2013 09:06
Forums: Mini-projets
Sujets: programme.c pourLDR07
Prière comment je peux programmer un LDR(photorésistance) sur STM32 et ce pour commander un moteur merci de me aider
Auteur: jfaycel- Date: 21/05/2013 23:16
Forums: Projets fin d'études
Sujets: carte d’acquisition de régulation et de signalisation de température
Mon projet consiste à réaliser une carte universelle d’acquisition de régulation et de signalisation de température à base d’un mi...
Auteur: ahmed- Date: 07/01/2013 11:34
Forums: Projets fin d'études
Sujets: RE : commande d'un MCC par hacheur 4 quadrants
Bonsoir En général un résistance série de 100 ohms sur la gate et une réstance plus grande du pull down sur la gate (gate et masse) e...
Auteur: bertrandbd- Date: 17/06/2012 21:05
Forums: Projets fin d'études
Sujets: RE : problème clavier sur CCS PCWH et ISIS
baraka allah fik ^_^j vais essayer.pour les résistance de pullup 1K ça fais l'affaire ?
Auteur: sedelec- Date: 17/05/2012 20:14
Forums: Mini-projets
Sujets: RE : Suiveur soleil par capteur temperature
salam l'utilisation d'un LDR avec un pic ce tres simple le principe d'un LDR est une resistance variable avec le lumiere pour une fonction l...
Auteur: salem- Date: 11/03/2012 00:38
Forums: Mini-projets
Sujets: RE : Suiveur soleil par capteur temperature
salamsur combien axes tu veut travaillersur deux axe ou plus les dimension de plaque solaire pour connatre la puissance de moteur de command...
Auteur: salem- Date: 07/03/2012 22:36
Forums: Projets fin d'études
Sujets: RE : capteur de courant
http://www.newark.com/allegro-microsystems/acs758lcb-050b-pff-t/hall-effect-ic/dp/04R7131tu peut avoir le datasheet de ce sitepour le output...
Auteur: salem- Date: 06/03/2012 23:36
Forums: Réalisations générales
Sujets: RE : flowcode une solution ?
ou est je la tête ?apres "cojitation" (désolé je ne connais pas du tout la programmation en micropascal (mais ca commence a me parlé...)...
Auteur: max- Date: 20/08/2011 12:49
Forums: Proteus (ISIS ARES)
Sujets: RE : SCHEMA SUR ISIS
Salut mamn,je pense que vous utilisiez des portes logiques "positive", c-à-d: lorsque les entrées sont en l aire signifie que c est un 1, ...
Auteur: alalim06- Date: 27/05/2011 22:50
Forums: Electronique Numérique
Sujets: RE : Bouton poussoir dans un pic
salut,ce sont des résistances pull down ,quand le bouton est relâché ca donne '0' logique et quand l'appui ca donne '1' logique il ya des...
Auteur: elngmosta- Date: 25/05/2011 00:05
Forums: Electronique Numérique
Sujets: Pic 16F876-assembleur
Salut, je veux un programme en assembleur de cette manipulation:Réaliser un clignotant pour une LED ( D1 ) avec la possibilité :-d’arrê...
Auteur: elngmosta- Date: 22/05/2011 01:09
Forums: Mini-projets
Sujets: parking prive
salut a tous,je suis nouveau su ce forum je veux que vous m'aidez sur mon petit projet j'ai réalisé le parking a l'aide du pic 16F877A j'...
Auteur: ISTA123- Date: 18/05/2011 15:09
Forums: Projets fin d'études
Sujets: parking avec le 16f877A
salut a tous,je suis nouveau su ce forum je veux que vous m'aidez sur mon petit projet j'ai réalisé le parking a l'aide du pic 16F877A j'...
Auteur: ISTA123- Date: 18/05/2011 11:13
Forums: Projets fin d'études
Sujets: Aide dimensionnement circuit
Salut tout le monde. Actuellement en classe de Terminale STI Electronique je sollicite votre aide : j'ai en effet un projet à réaliser qui...
Auteur: jb92500- Date: 03/04/2011 16:01
Forums: Electronique Numérique
Sujets: RE : Bouton poussoir dans un pic
Bonjour,à l'air libre l'entré du pic est à 1 (si non alors aléatoirement 0 ou 1), donc si tu fais une résistance au GND tu garantie le ...
Auteur: Compte supprimé- Date: 22/03/2011 07:55
Forums: Electronique Numérique
Sujets: Bouton poussoir dans un pic
Bonjour,j'aimerai bien connaître pourquoi ne brancher pas un bouton poussoir directement au micro-contrôleur, pourquoi on utilise un resis...
Auteur: waldomania- Date: 21/03/2011 16:47
Forums: Electronique Numérique
Sujets: RE : Communication sans fils
Bonsoir,pour l'envoi (génération des signaux DTMF) pas de problème l'appui sur une touche du clavier de votre gsm (en communication avec ...
Auteur: Compte supprimé- Date: 17/03/2011 21:14
Forums: Projets fin d'études
Sujets: carte des fonctions logiques
salut,j'ai ajouté les resistances de rappel pour tout les circuits et samarche bien sauf le circuit 7405 ne répond pas du tout, j'ai achet...
Auteur: saberesstt- Date: 20/08/2010 12:12
Forums: Mini-projets
Sujets: RE : CIRCUITS LOGIQUES DE BASE
Bonjour,Tu as certainement oublier de mettre des résistances de rappel à la masse de 4.7K
Auteur: Technologuepro- Date: 18/08/2010 06:18
Forums: Projets fin d'études
Sujets: RE : programmateur de pic polyvant
Bonjour,lorsque tu désactive/active invert VCC la diode orangé doit s'allumer et s'éteindre sinon il y a un problème électrique ( mau...
Auteur: Technologuepro- Date: 30/07/2010 02:08
Forums: Projets fin d'études
Sujets: RE : programmateur de pic polyvant
Bonjour, j'ai réalisé la carte, et j'ai configuré IC-Prog comme il est indiqué. La LED verte s'allume en permanence, pour un test hardwa...
Auteur: kato73- Date: 29/07/2010 18:22
Forums: J'ai besoin d'un document
Sujets: RE : besoin datasheet led normale
Bonjour,Pour les LED il y a un très grand nombre de fabricants mais c'est normal que tu ne trouve pas de datasheet c'est comme si tu cherc...
Auteur: Technologuepro- Date: 07/04/2010 01:07
Forums: Projets fin d'études
Sujets: RE : afficheur de vitesse, distance,niveau carburant(problémes capteurs)
salut bon c est un bon projet et tres bien a realiser donc a propos les capteur*pour le detecteur de nivau de gasoil tu peut utuliser le cap...
Auteur: salem- Date: 10/03/2010 19:15
Forums: Projets fin d'études
Sujets: ENROULEUR DEROULEUR
le sujet proposé est une platine enrouleuse dérouleuse de bande qui a été commencé par d'autres élèves .la platine est destinée a en...
Auteur: mbuma85- Date: 05/03/2010 17:45
Forums: Projets fin d'études
Sujets: RE : détecteur d'humidité du sol
se que concerne cette schems et pour te mieux comprandre tu peut juste concerne que le detecteur humidite est une resistance variable selo...
Auteur: salem- Date: 16/02/2010 09:56
Forums: Réalisations générales
Sujets: RE : Convertisseur resisrance/tension
salem totiexplique plus qu'est ce qu'il fait ce convertisseur est ce que tu parle d'un covertisseur numérique analogique (cna) à résistan...
Auteur: ahmed- Date: 26/09/2009 21:20
Forums: Réalisations générales
Sujets: Convertisseur resisrance/tension
Est ce kil ya kel k1 qui peut me donné un schema electrique d'un convertisseur resistance ->tension.merci d'avance.
Auteur: toti- Date: 10/09/2009 19:49
Forums: Projets fin d'études
Sujets: réalisation du clavier +5afficheur 7segment
bonjour a tousje suis entrain de réalisé un clavier+5afficheurs a 7segment .Est que ces obligatoires de ajouté des résistances pour prot...
Auteur: barca- Date: 19/05/2009 16:27
Forums: Mini-projets
Sujets: éclairage avec microcontrleur
salut mon petit projet consiste à allumer des lampes externes dans une usine en utilisant un capteur de luminosité et un microcontroleur...
Auteur: gaddour- Date: 04/04/2009 12:50
Forums: Mini-projets
Sujets: RE : Circuit "Plan de mémoire"
Bonjour,Merci d'être clair et précis dans ton message,pour les circuits il faut choisir :- 74LS137 décodeur - 6264 mémoire pour les s...
Auteur: Technologuepro- Date: 29/03/2009 19:36
Forums: Electronique Analogique
Sujets: aide moi dans un exercice en électronique de puissance
On utilise un pont monophasé mixte à 2 diodes et deux thyristors pour charger une batterie d'accumulateur, dont la résistance interne est...
Auteur: sofianedz- Date: 02/03/2009 21:16
Forums: Projets fin d'études
Sujets: RE : Variateur pour gros moteur CC
monsieur hatem ,je trouves dans le site le sujet de variateur de moteur a courant contenu 12 volte mais je trouve le programme de pic en ...
Auteur: ridhafor- Date: 07/10/2008 13:51
Forums: Mini-projets
Sujets: RE : Indicateur de couleur par PIC
Le montage et le programme sont parfaits c'est excellent comme travail juste j'ai changé le PIC16F877A par un 16F877 car le 16F877A n'est p...
Auteur: Technologuepro- Date: 20/05/2008 00:11
Forums: Projets fin d'études
Sujets: RE : carte d'acquisition à base de pic 16f877
salemles résistances sont des résistance de rappel ; on ne les utilise pas dans le port b car ce dernier est équipé par des résistances...
Auteur: ahmed- Date: 13/05/2008 20:08
Forums: Projets fin d'études
Sujets: RE : carte d'acquisition à base de pic 16f877
SALEM MERCI BEAUCOUP votre aide le programme marche correctement jai modifier mon schéma un peu. je voudrai savoir à quoi serve les résis...
Auteur: pico- Date: 13/05/2008 16:25
les résultats affichées pour le forum sont limitées à 50



FaceBook
Liens Sponsorisés
Annonces

Haut de page

© 2024 Technologue pro, cours électricité électronique informatique · © 2007 - 2024 Technologue pro - Ressources pédagogiques pour l'enseignement technologique en Tunisie
Usage dans un cadre strictement académique

Technologue: cours en ligne gratuit en électricité, électronique, informatique industrielle et mécanique pour l'enseignement technologique en Tunisie et offre des cours en ligne en génie électrique, informatique, mécanique, une base de données de TP, projets fin d'études et un annuaire de ressources pédagogiques
Licence - Sitemap - Qui somme nous ? - confidentialité- Tunisie Index
J'accepte
Ce site web utilise des cookies. Nous utilisons des cookies pour vous offrir la meilleure expérience sur notre site Web. Plus d'infos